- -
UPV
 

Simulación Verilog, observación de resultados

La idea fundamental de este vídeo es como realizar en bancos de pruebas la comprobación del funcionamiento de las salidas del diseño. En principio en este vídeo se dan en términos generales las opciones disponibles Gadea Gironés, R. (2017). Simulación Verilog, observación de resultados. http://hdl.handle.net/10251/81478


EMAS upv